Selasa, 26 Oktober 2010

Pengertian Library dan Library yang terdapat pada VHDL

Library
Panel library adalah tempat dimana kita menyimpan dan mengelola symbol yang dibuat dalam Flast, seperti juga file-file yang diimpor, termasuk gambar bitmap, soud file, dan video klip. Panel library memberikan kita kebebasan untuk mengelola banyak item kedalam folder-folder, melihat beberapa sering sebuah item digunakan dalam dokumen, dan menyortir item berdasarkan tipenya.
Merupakan kumpulan dari subrutin, fungsi, prosedur, atau modul, yang disimpan pada satu atau beberapa file, biasanya digunakan untuk proses kompilasi pada pemrograman.

Library pada VHDL

IEEE :
a. math_real
b. numeric_bit
c.numeric_std
d. std_logic_1164
e.std_logic_arith
f. std_logic_signed
g. std_logic_unsigned
h.vital_timing

STD :
a.standard
b. textio

Tidak ada komentar:

Posting Komentar